狠狠操网,91中文字幕在线观看,精品久久香蕉国产线看观看亚洲,亚洲haose在线观看

電梯控制器設計電路(5篇)

人的記憶力會隨著歲月的流逝而衰退,寫作可以彌補記憶的不足,將曾經(jīng)的人生經(jīng)歷和感悟記錄下來,也便于保存一份美好的回憶。相信許多人會覺得范文很難寫?下面我給大家整理了一些優(yōu)秀范文,希望能夠幫助到大家,我們一起來看一看吧。

電梯控制器設計電路篇一

電梯行業(yè)是一個慢熱型的市場

目前的電梯行業(yè),是變頻器行業(yè)應用中的重要領域,而電梯領域?qū)F置商囟裕彩侵匾膽?zhàn)略部署行業(yè),特別針對扶梯、直梯開發(fā)了不同的專用驅(qū)動控制器,“目前來講,電梯是變頻器應用行業(yè)的主流行業(yè),市場比較大的,而海浦蒙特現(xiàn)在要做的就是要在電梯行業(yè)去放大自身的局部優(yōu)勢,專注于細分的市場,扎實的做下去!

“電梯行業(yè)是一個慢熱型的市場,不同于塑料行業(yè)、食品機械等行業(yè),是一個長期積累的過程,所以在電梯行業(yè)的突然放量是不可能的,但是我們在電梯領域已經(jīng)積累了好多年,我們公司在成立之初就做好了持久戰(zhàn)的準備,前期目標就是要在行業(yè)中穩(wěn)固,打好堅實的基礎之后在深扎根進去,我相信經(jīng)過前幾年的培養(yǎng)海浦蒙特近年來在行業(yè)的銷售曲線會有快速提升!

國產(chǎn)變頻器企業(yè)要實力就要有自己的軟硬件

在變頻器行業(yè),山寨貨的情況其實和山寨手機是一樣的,在全國幾百家的變頻器企業(yè)中,有多少家真正的擁有自己配套的軟硬件。對于認真做企業(yè)的人而言,市場是最好的煉金石,是一個真正提煉的過程,大浪淘沙,沒有擁有自身強硬的實力和競爭力的企業(yè),很難說不會被市場所淘汰!拔蚁嘈旁谧冾l器行業(yè),山寨的情況和手機行業(yè)路徑會是一樣的,只不過在變頻器領域這個淘汰的過程會相對長一點!

在變頻器專用的應用領域,特別是以起重、電梯等行業(yè)而言,即是一個需要長期緩慢培養(yǎng)的過程,又是一個需要企業(yè)自身慢慢沉淀沉入的行業(yè),“在這些領域,用戶的需求不再是單一的價格體現(xiàn),首先考慮的是產(chǎn)品的可靠性、安全性和穩(wěn)定性,只有在這些需求得到滿足以后,產(chǎn)品的功能性、服務保證、價格水平才有體現(xiàn)的空間,這都是后續(xù)考慮的問題,這就是用戶需求!

首矽致芯科技在嵌入式mcu及dsp方面具有較強的技術(shù)優(yōu)勢,目前的嵌入式mcu采用8英寸0.18-0.5%26micro;mcmos工藝,程序存儲器采用的是一次可編程rom或flash,公司在數(shù);旌想娐吩O計、電磁兼容及產(chǎn)品的可靠性等方面的設計技術(shù)處于業(yè)界領先水平,主控芯片在變頻器和汽車電子周邊產(chǎn)品等多項應用領域我們都有著成熟的技術(shù)。

電梯控制器設計電路篇二

前言

電梯作為垂直方向的交通工具,在高層建筑和公共場所已成為不可或缺的設備。中國是全球最大的電梯市場,也具有最強的電梯生產(chǎn)能力,但由于缺乏自主知識產(chǎn)權(quán)和核心技術(shù),自主品牌占市場的份額很少。因此要加大對電梯技術(shù)的創(chuàng)新和發(fā)展,提升電梯的性能,就需要引進更好的技術(shù),電梯控制器就是很好的裝置,大力開發(fā)控制器是很必要的。電梯控制器可以有很多實現(xiàn)方式,本設計用了eda技術(shù)進行操作。eda技術(shù)打破了軟件和硬件間的壁壘,使計算機的軟件技術(shù)與硬件實現(xiàn)、設計效率與產(chǎn)品性能合二為一,它代表了電子設計技術(shù)和應用技術(shù)的發(fā)展方向。

vhdl主要用于描述數(shù)字系統(tǒng)的接口,結(jié)構(gòu)和功能,它的語法簡單易懂,移植性好。我設計的是一個5層電梯控制器。分為主控制器和分控制器。主控制器是電梯內(nèi)部的控制器,每層電梯入口處有一個分控制器。本設計采用vhdl源程序 altera 公司的 quartus ii軟件仿真。運用有限狀態(tài)機的設計方法,設計了兩個進程相互配合,狀態(tài)機進程作為主要進程,信號燈控制進程作為輔助進程。在主進程中定義了七個狀態(tài),樓層上升請求upin,樓層下降請求downin,提前關(guān)門輸入close,延遲關(guān)門輸入delay,電梯運行的開關(guān)run_stop,電梯運行或停止指示lamp,電梯運行或等待時間指示run_wait,在電梯時鐘的觸發(fā)下,通過當前狀態(tài)和信號燈信號來判定下一狀態(tài)。信號燈控制進程中,信號燈信號存儲按鍵請求情況,它的熄滅是由狀態(tài)機進程中傳出的信號來控制。

本程序設計調(diào)用了ieee 庫,ieee 庫是 vhdl設計中最為常用的庫,它包含有ieee標準的程序包和其他一些支持工業(yè)標準的程序包。本設計采用 std-logic-1164、std-logic-unsigned、std-logic-arith 程序包。以關(guān)鍵詞 entity引導,end entity lift 結(jié)尾的部分是程序的實體部分。vhdl的實體描述了電路器件的外部情況,本設計定義了關(guān)于三層電梯控制器用到的各類時鐘、異步復位按鍵、信號燈指示端口、電梯的請求端口。它描述了端口模式主要有in、out,以及各端口信號的數(shù)據(jù)類型主要有std-logic、std-logic-vector、integer。以關(guān)鍵詞 architecture 引導,end architecture rtl結(jié)尾的語句部分是結(jié)構(gòu)體部分,結(jié)構(gòu)體描述電路器件的內(nèi)部邏輯功能。

目錄

第一章 緒論...........................................3 §1.1課程設計題目......................................3 §1.2設計目的..........................................3 §1.3課程設計要求......................................3 §1.4課程設計思想......................................4 第二章 課程設計步驟..................................6 §2.1設計背景..........................................6 §2.2課程設計的意義....................................6 §2.2.1中國電梯的現(xiàn)狀..................................7 §2.2.2 電梯的節(jié)能和環(huán)保................................7 §2.2.3 電梯的智能化....................................7 §2.3電梯控制器的流程圖.................................8 §2.4 電梯控制器的內(nèi)部組成結(jié)構(gòu)圖........................8 §2.5 主要模塊設計.....................................9 §2.6 vhdl源程序.......................................10 §2.7 仿真結(jié)果與分析....................................20 第三章 總結(jié)心得......................................22 參考文獻...............................................22

第一章 緒論

電梯控制器由硬件和軟件兩大部分組成。硬件包括控制器、控制器地板、讀卡天線、電源、寫卡器、ic卡片等組成內(nèi)呼控制器,軟件包括下位機運行控制軟件、上位機寫卡授權(quán)軟件。我設計的七層電梯控制器主要應用 eda電子電路技術(shù)。eda的發(fā)展使得設計更具有靈活性。隨著我國經(jīng)濟持續(xù)增長、城鎮(zhèn)化建設的加速和房地產(chǎn)行業(yè)的進一步發(fā)展,對電梯的需求越來越大。所以電梯控制器就需要大強度的發(fā)展,來滿足社會的需求。電梯的發(fā)展更加的智能化,節(jié)約化。對社會的發(fā)展,人民生活水平的提高具有很大的意義。所以加大對電梯控制器的發(fā)展,和技術(shù)上的研究是 很重要的。隨著 eda技術(shù)發(fā)展和應用領域的擴大與深入,eda 技術(shù)在電子信息、通訊、自動控制及計算機應用等領域的重要性突出。隨著技術(shù)市場與人才市場對eda的需求不斷提高,產(chǎn)品的市場需求和技術(shù)市場的要求也必然會反映到教學領域和科研領域中來。eda技術(shù)的提高,促進了電梯控制器的發(fā)展。電梯行業(yè)也隨著科技的發(fā)展,不斷地出現(xiàn)在人們生活的各個場所,因此,對電梯控制器的設計是一個很實用的例子,對掌握 eda技術(shù)的應用也有很大的幫助。

§1.1 課程設計題目:電梯控制器的設計

§1.2 設計目的

進一步鞏固理論知識,培養(yǎng)所學理論知識的在實際中的應用能力;掌握 eda 設計的一般方法; 熟悉一種 eda 軟件,掌握一般eda系統(tǒng)的調(diào)試方法;利用eda軟件設計一個電子技術(shù)綜合問題,培養(yǎng)vhdl編程、書寫技術(shù)報告的能力。為以后進行工程實際問題的研究打下設計基礎。

§1.3 課程設計要求

設計一電梯控制器實現(xiàn)如下功能:一個五層電梯控制器。分為主控制器和分控制器。主控制器是電梯內(nèi)部的控制器,每層電梯入口處有一個分控制器。主控制器的功能如下: 1.在按電梯開關(guān)時相應請求,否則不響應; 2.電梯初始位 置是一層; 3.電梯運行時,指示當前所在樓層; 4.當電梯到達所請求的樓層時,自動開門,然后繼續(xù)運行,如果沒有請求信號,停留在當前樓層; 6.收到請求后,自動到達用戶所在樓層,自動開門; 7.電梯內(nèi)外設有各種請求,并按電梯運行順序執(zhí)行,在執(zhí)行后清除請求; 8.電梯運行規(guī)則:當電梯處于上升狀態(tài)時,僅響應比電梯位置高的用戶的請求;當電梯處于下降狀態(tài)時,僅響應比電梯位置更低的用戶請求;分控制器的功能如下:1.設有上升請求按鈕和下降請求按鈕,實時檢測用戶按鍵; 2.指示電梯當前坐在樓層 3.當電梯到達本層時,清除請求。

§1.4 課程設計思想

實驗模擬生活中電梯運動控制,電梯總共五層,最簡單的控制思想如下:采集用戶呼叫樓層,并放入相應的記憶單元中;不考慮電梯轎廂所在樓層。若有用戶呼叫,電梯上行直到達到用戶呼叫最大層再下行直到到達最低層。由此構(gòu)成一次行程;每完成一次行程檢測是否所用用戶呼叫均已響應完畢。如果沒有,電梯繼續(xù)運行,直到響應完所有用戶呼叫。否則,電梯停止運行;其中用戶請求包括外部請求和內(nèi)部請求。有外部升降請求信號需點亮相應的外部請求指示燈,內(nèi)部升降請求信號同外部;根據(jù)電梯所在樓層,七段數(shù)碼管顯示樓層數(shù);當電梯運行到有相應外部呼叫或內(nèi)部呼叫樓層時,電梯停止運行,滅掉相應的呼叫顯示燈,電梯開關(guān)門后,繼續(xù)運行。電梯控制器設計兩個進程相互配合,狀態(tài)機進程作為主要進程,信號燈控制進程作為輔助進程。根據(jù)電梯的實際工作情況,可以為狀態(tài)機設置三個狀態(tài),電梯的控制狀態(tài)包括運行狀態(tài)、停止狀態(tài)及等待狀態(tài),其中運行狀態(tài)又包含向上狀態(tài)和向下狀態(tài)。主要動作有開、關(guān)門,停靠和啟動。乘客可通過鍵入開、關(guān)門按鈕,呼喚按鈕,指定樓層按鈕等來控制電梯的行與停。狀態(tài)機進程中的很多判斷條件是以信號燈控制進程產(chǎn)生的信號燈信號為依據(jù),而信號燈控制進程中信號燈的熄滅又是由狀態(tài)機進程中傳出的信號來控制。

電梯控制器有如圖1-1所示的系統(tǒng)框圖。其中clk為基準輸入時鐘信號,在時鐘上升沿有效;upin為樓層上升請求信號,高電平有效;downin為樓層下降請求信號,高電平有效;樓層選擇鍵st_ch,高電平有效;提前關(guān)門開關(guān)close和延時關(guān)門開關(guān)delay, 高電平有效;電梯運行開關(guān)按鈕run_stop,電梯在高電平時正常運行,低電平時停止運行;輸出信號包括電梯運行/停止輸出信號lamp、電梯運行/等待時間顯示電run_wait,電梯所在樓層指示數(shù)碼管st_out,樓層選擇指示數(shù)碼管direct。由于信號run_wait、st_out和direct的輸出要能夠直接指示電梯控制器的各種運行狀態(tài),因此可以采用共陰極led數(shù)碼顯示管。由電梯控制器系統(tǒng)框圖可得到圖1-2。

圖 1-1電梯控制器系統(tǒng)框圖

圖1-2 總體組裝圖

第二章 課程設計步驟

§2.1 設計背景

近年來,隨著我國房地產(chǎn)業(yè)的持續(xù)高速發(fā)展,高層建筑越來越多。因此,一種能使人們快速、便捷地到達目的樓層的電梯便應運而生了。分析近幾年房地產(chǎn)業(yè)的發(fā)展趨勢,特別是商品住宅的高速發(fā)展,將使住宅對電梯的需求 量持續(xù)攀升。人們對電梯安全性、高效性、舒適性的不斷追求也推動了電梯技術(shù)的進步。隨著電梯技術(shù)的發(fā)展,綠色化、低能耗、智能化、網(wǎng)絡化、藍牙技術(shù)的電梯成為一段時間內(nèi)的發(fā)展趨勢。為保證人們能更安全、更快捷地到 達目的樓層,實現(xiàn)人性化的功能,對電梯系統(tǒng)中的控制部分進行優(yōu)化設計是非常必要。因此這部分的設計也就成了在電梯設計領域里最為核心的技術(shù)。為了實現(xiàn)電梯的智能化,可以采用許多方法。它的智能化控制可以有以下幾種形式: 控制;2.單板機控制;3.單片機控制;4.單微機控制;5.多微機控制;6.人工智能控制。隨著 eda技術(shù)的快速發(fā)展,電子設計自動化(eda)逐漸成為重要的設計手段,已經(jīng)廣泛應用于模擬與數(shù)字電路系統(tǒng)等許多領域。它是一種實現(xiàn)電子系統(tǒng)或電子產(chǎn)品自動化設計的技術(shù),與電子技術(shù)、微電子技術(shù)的發(fā)展密切相關(guān),并吸收了計算機科學領域的大多數(shù)最新研究成果,以高性能的計算機作為工作平臺,促進了工程發(fā)展。傳統(tǒng)單片機設計的電梯控制外圍電路復 雜,性能不穩(wěn)定,而采用 eda 設計,卻擁有電子系統(tǒng)小型化、低功耗、高可靠性、開發(fā)過程投資小、周期短等優(yōu)點,而且還可以通過軟件編程對硬件結(jié)構(gòu)和工作方式進行重構(gòu),使得硬件設計如軟件設計那般方便快捷。本次設計就是應用eda電子電路技術(shù)來設計電梯控制器,從而使用一片芯片就可以實現(xiàn)對電梯的控制的。

§2.2 課程設計的意義

電梯作為垂直方向的交通工具,在高層建筑和公共場所已經(jīng)成為重要的建筑設備而不可或缺。電梯產(chǎn)業(yè)的前景和走勢隨著社會的需求而悄然發(fā)生著改變,除了考慮安全、舒適、豪華裝修等要求外,市場對新一代的綠色電梯、節(jié)能電梯和智能電梯的需求越來越旺盛。國內(nèi)外電梯企業(yè)順應市場需要,加大研發(fā)投入,都準備在未來新概念電梯產(chǎn)業(yè)發(fā)展中占得先機。

§2.2.1 中國電梯的現(xiàn)狀

近年來,隨著中國房地產(chǎn)業(yè)的快速發(fā)展,與之配套的電梯生產(chǎn)制造業(yè)也經(jīng)歷了迅猛發(fā)展的階段,電梯產(chǎn)量保持了每年 20%以上的增長速度。我國電梯的出口年均增長率將保持在 35%以上,電梯行業(yè)逐步成為國內(nèi)比較重要的行業(yè)。隨著我國經(jīng)濟持續(xù)增長、城鎮(zhèn)化建設的加速和房地產(chǎn)行業(yè)的進一步發(fā)展,對電梯的需求越來越大。估計未來 50年估計中國新增住房面積將達到200億平方米。國家規(guī)定20 米以上高樓就應安裝電梯,因此未來電梯最大的市場就是住宅市場。此外,機場、商場、地鐵等大型公共設施建設對自動扶梯、觀光電梯等電梯的需求量也十分可觀。電梯作為終端消費品,品牌在市場競爭中的作用非常明顯。品牌往往成為人們在選擇電梯產(chǎn)品時的重要考慮因素,電梯生產(chǎn)要想建立良好的品牌并獲得市場的認可,也必須經(jīng)過市場一定時間的不斷考驗。面對外資巨頭的貼身進逼,我國電梯品牌在服務和營銷上難以匹敵,其生存狀況不容樂觀。所以大力發(fā)展電梯控制器技術(shù)對我國電梯行業(yè)的發(fā)展至關(guān)重要。

§2.2.2 電梯的節(jié)能和環(huán)保

“綠色”已成為 21世紀的主流色調(diào),一個全球性的綠色市場為企業(yè)的發(fā)展提供了廣闊的空間,當今社會誰先推出綠色產(chǎn)品,搶占綠色營銷市場,誰就能掌握競爭的主動權(quán)。老式電梯噪音、占用空間、耗能等形成的危害積累起來是相當大的,因此,在城市環(huán)保社區(qū)建設中,如何盡量減少電梯對環(huán)境的危害是相當重要的課題之一。目前,我國市場每年銷售各種類型的電梯達 4.5 萬部,如果這些電梯全部實現(xiàn)環(huán);,其環(huán)境效益是難以估量的。

§2.2.3 電梯的智能化

隨著城市化的高速發(fā)展,越來越多的摩天大樓拔地而起。就摩天大樓的高度而言,不僅受建筑技術(shù)上的制約,而且還有電梯升高方面的困惑,因而,在摩天大樓日益完備智能化的趨勢中,電梯的智能化也不容忽視。它不僅是人們上上下下的代步工具,同時,也是摩天大樓智能化的一個重要標志。盡管電梯在摩天大樓中只是—個細節(jié),但電梯智能化程度的高低卻決定著它服務質(zhì)量的優(yōu)劣。因而,7 電梯的智能化在一定程度上反映出智能大廈的智能程度。計算機技術(shù),通訊技術(shù)與控制技術(shù)的發(fā)展使大廈的智能化成為現(xiàn)實,而電梯是智能建筑中的重要交通工具,其技術(shù)發(fā)展及智能化程度也倍受世人關(guān)注。智能化的電梯要與智能大廈中所有自動化系統(tǒng)聯(lián)網(wǎng),如與樓宇控制系統(tǒng)、消防系統(tǒng)、保安監(jiān)控系統(tǒng)等交互聯(lián)系,使電梯成為高效優(yōu)質(zhì)、安全舒適的服務工具。

§2.3電梯控制器的流程圖

外部按鍵初始化等待請求信號寄存器是否有請求?狀態(tài)寄存器是目標層與本層是否同層?否判定電梯運行方向外部硬件執(zhí)行機構(gòu)電梯運行。

圖 2-1 總流程圖

§2.4 電梯控制器的內(nèi)部組成結(jié)構(gòu)圖

整個電梯控制器dtkzq應包括如下幾個組成部分:① 時序輸出及樓選計數(shù)器;② 電梯服務請求處理器;③ 電梯升降控制器;④ 上升及下降寄存器;⑤ 電梯次態(tài)生成器。該電梯控制器設計的關(guān)鍵是確定上升及下降寄存器的置位與復 位。整個系統(tǒng)的內(nèi)部組成結(jié)構(gòu)圖如圖 2-2 所示:

圖 2-2電梯控制器的內(nèi)部組成結(jié)構(gòu)圖

§2.5 主要模塊設計

圖2-2中所示的各電路模塊可以通過采用多進程的方式實現(xiàn)。

一、分頻信號和樓選信號產(chǎn)生進程

分頻信號和樓選信號產(chǎn)生進程將輸入時鐘信號進行二分頻,同時對樓選指示變量dir進行從0到4的計數(shù)。

二、樓層請求寄存器置位與復位進程

該進程通過樓層選擇指示變量dir、電梯所在樓層變量liftor和輸入信號upin、downin、st_ch來判斷樓層請求寄存器ur、dr的復位。

假設電梯所在樓層為num,此時電梯如處于運行中,這時若樓層指示為t且t>num時按下了樓層選擇確認鍵st_ch,或者按下上升按鈕upin,則對應的上升請求寄存器ur(t)賦值為1,否則,若電梯運行時間到,且沒有任何的請求,則對應的上升請求寄存器ur(t)賦值為0;反之,如果電梯處于運行中,樓層指示為t且t

三、電梯運行次態(tài)控制進程

電梯運行次態(tài)控制進程的設計是實現(xiàn)電梯控制器最為重要的一部分,合理判斷電梯的運行次態(tài)是正確完成設計的關(guān)鍵。該進程根據(jù)ur/dr寄存器的狀態(tài)和電梯所在樓層的變量liftor,當wai_t的值為110時,給出電梯的下一個狀態(tài)。信號ladd指示電梯的下一個狀態(tài),其值為11時電梯上升,00時電梯下降,01或者10電梯都處于等待狀態(tài)。

當run_stop信號為高電平時,電梯處于運行狀態(tài),假設運行時間到,wai_t=110,如果此時上升或下降請求寄存器的每一位都為0,則電梯處于等待狀態(tài),電梯下一狀態(tài)指示信號ladd為00或者為01;否則,若電梯處于第n層,如果此時第n+1層的上升或者下降請求寄存器的值為1,則電梯處于運行等待狀態(tài),如果此時第n+1層以上的上升或者下降寄存器有請求或者n層以下的上升或者下降寄存器有請求,電梯處于上升狀態(tài),ladd的值為11,其他情況電梯處于下降運行狀態(tài),ladd的值為10。

四、電梯運行樓層計數(shù)及提前/延時關(guān)門控制進程

此進程完成提前/延時關(guān)門控制功能,并對電梯運行的樓層數(shù)進行計數(shù)。在分頻信號的上升沿啟動進程,信號closex和delayx分別完成提前關(guān)門和延時關(guān)門請求,并根據(jù)下一狀態(tài)指示信號ladd對樓層計數(shù)器liftor進行相應的操作。

§2.6 vhdl源程序

顯示電路的vhdl實現(xiàn)如下: library ieee;--庫函數(shù)的說明

use ;--程序包的說明 use ;entity led is port(ledin: in std_logic_vector(2 downto 0);--輸入信號 ledout: out std_logic_vector(6 downto 0));--輸出信號; end led;architecture rtl of led is--結(jié)構(gòu)體 begin process(ledin)begin case ledin is--共陰極led顯示譯碼:g f e d c b a when “000”=>ledout<=“0111111”;--0 when “001”=>ledout<=“0000110”;--1 when “010”=>ledout<=“1011011”;--2 when “011”=>ledout<=“1001111”;--3 when “100”=>ledout<=“1100110”;--4 when “101”=>ledout<=“1101101”;--5 when others =>ledout<=“0000000”;--其他情況時燈滅 end case;end process;end rtl;五層電梯控制器主體的vhdl實現(xiàn)如下: library ieee;--庫函數(shù)的說明

use ;--程序包的說明 use ;use ;entity lift is port(clk:in std_logic;--時鐘信號 upin:in std_logic;--上升請求鍵 downin:in std_logic;--下降請求鍵 st_ch:in std_logic;--樓層選擇鍵 close:in std_logic;--提前關(guān)門鍵 delay:in std_logic;--延時關(guān)門鍵 run_stop:in std_logic;--電梯運行開關(guān) lamp:out std_logic;--運行或停止燈

run_wait:out std_logic_vector(3 downto 0);--運行或等待時間 st_out:out std_logic_vector(3 downto 0);--電梯所在樓層指示 direct:out std_logic_vector(3 downto 0)--樓層選擇指示);end lift;architecture rtl of lift is signal ur,dr: std_logic_vector(5 downto 1);signal dir,liftor: integer range 0 to 4;signal wai_t: std_logic_vector(2 downto 0);signal divide,hand,clkin: std_logic;signal ladd: std_logic_vector(1 downto 0);signal closex,delayx: std_logic;begin direct<=conv_std_logic_vector(dir,4)+1;st_out<=conv_std_logic_vector(liftor,4)+1;run_wait<='0'& wai_t;lamp<=ladd(1);hand<=wai_t(2)and(not wai_t(1)and wai_t(0));closex<=close and(not ladd(1));delayx<=delay and(not ladd(1));--分頻進程 p0:process(clk)begin if(clk'event and clk='1')then clkin <=not clkin;end if;end process p0;--分頻及樓選信號產(chǎn)生進程 p1:process(clkin)12 begin if(clkin'event and clkin='1')then divide<=not divide;if(dir=4)then dir<=0;else dir<=dir+1;end if;end if;end process p1;--樓層請求寄存器置位與復位進程

p2:process(ur,dr,dir,upin,downin,st_ch,liftor,wai_t,run_stop,hand)variable num,t:integer range 0 to 5;begin num:=liftor+1;t:=dir+1;if(run_stop='1')then--電梯運行時--選擇樓層大于當前樓層或者有上升請求 if(((t>num)and(st_ch='1'))or(upin='1'))then case t is when 1=>ur(1)<='1';when 2=>ur(2)<='1';when 3=>ur(3)<='1';when 4=>ur(4)<='1';when 5=>ur(5)<='1';when others=>null;end case;--電梯運行時間到 elsif(hand='1')then 13 case num is when 1=>ur(1)<='0';when 2=>ur(2)<='0';when 3=>ur(3)<='0';when 4=>ur(4)<='0';when 5=>ur(5)<='0';when others=>null;end case;end if;--選擇樓層小于當前樓層或者有下降請求

if(((tdr(1)<='1';when 2=>dr(2)<='1';when 3=>dr(3)<='1';when 4=>dr(4)<='1';when 5=>dr(5)<='1';when others=>null;end case;--電梯運行時間到 elsif(hand='1')then case num is when 1=>dr(1)<='0';when 2=>dr(2)<='0';when 3=>dr(3)<='0';when 4=>dr(4)<='0';when 5=>dr(5)<='0';when others=>null;end case;14 end if;else ur<=“00000”;dr<=“00000”;end if;end process p2;--電梯運行次態(tài)控制進程p3:process(ur,dr,dir,liftor,ladd,wai_t,run_stop)begin if(run_stop='1')then--電梯運行時 if(wai_t=“110”)then if((ur or dr)=“00000”)then ladd(1)<='0';else case liftor is--電梯在第一層 when 0=> if((ur(1)or dr(1))>'0')then ladd(1)<='0';else ladd<=“11”;end if;--電梯在第二層 when 1=> if((ur(2)or dr(2))>'0')then ladd(1)<='0';elsif(((ladd(0)='1')and((ur(5 downto 3)15 or dr(5 downto 3))>“000”))or((ur(1)or dr(1))='0'))then ladd<=“11”;else ladd<=“10”;end if;--電梯在第三層 when 2=> if((ur(3)or dr(3))>'0')then ladd(1)<='0';elsif(((ladd(0)='1')and((ur(5 downto 4)or dr(5 downto 4))>“00”))or((ur(2 downto 1)or dr(2 downto 1))=“00”))then ladd<=“11”;else ladd<=“10”;end if;--電梯在第四層 when 3=> if((ur(4)or dr(4))>'0')then ladd(1)<='0';16 elsif(((ladd(0)='1')and((ur(5)or dr(5))>'0'))or((ur(3 downto 1)or dr(3 downto 1))=“000”))then ladd<=“11”;else ladd<=“10”;end if;--電梯在第五層 when 4=> if((ur(5)or dr(5))>'0')then ladd(1)<='0';else ladd<=“10”;end if;when others=>null;end case;end if;end if;else ladd<=“00”;end if;end process p3;--樓層計數(shù)及關(guān)門時間控制進程

p4:process(divide,ladd,wai_t,closex,delayx)begin 17 if(divide'event and divide='1')then--分頻后的時鐘上升沿 if(wai_t=“000” or closex='1')then wai_t<=“110”;else if(delayx='0')then wai_t<=wai_t-1;else wai_t<=“010”;end if;--電梯處于運行狀態(tài) if(wai_t=“001”)then if(ladd=“11”)then--電梯上升,樓層加1 liftor<=liftor-1;end if;end if;end if;end if;end process p4;end rtl;頂層模塊設計:

library ieee;--庫函數(shù)的說明

use ;--程序包的說明 entity top is port(clk:in std_logic;--時鐘信號 upin:in std_logic;--上升請求鍵 downin:in std_logic;--下降請求鍵 st_ch:in std_logic;--樓層選擇鍵 close:in std_logic;--提前關(guān)門鍵 delay:in std_logic;--延時關(guān)門鍵

run_stop:in std_logic;--電梯運行開關(guān) lamp:out std_logic;--運行或停止燈 run_wait: out std_logic_vector(6 downto 0);st_out: out std_logic_vector(6 downto 0);direct: out std_logic_vector(6 downto 0));end top;architecture rtl of top is component led is port(ledin: in std_logic_vector(2 downto 0);ledout: out std_logic_vector(6 downto 0));end component led;component lift is port(clk:in std_logic;--2hz信號 upin:in std_logic;--上升請求鍵 downin:in std_logic;--下降請求鍵 st_ch:in std_logic;--樓層選擇鍵 close:in std_logic;--提前關(guān)門鍵 delay:in std_logic;--延時關(guān)門鍵 run_stop:in std_logic;--電梯運行開關(guān) lamp:out std_logic;--運行或停止燈 run_wait: out std_logic_vector(3 downto 0);st_out: out std_logic_vector(3 downto 0);direct: out std_logic_vector(3 downto 0));end component lift;signal s0,s1,s2:std_logic_vector(3 downto 0);begin u1:lift port map(clk,upin,downin,st_ch,close,delay,run_stop,lamp,s0, s1,s2);19 u2:led port map(s0,run_wait);u3:led port map(s1,st_out);u4:led port map(s2,direct);end rtl;

§2.7仿真結(jié)果與分析

由2.6節(jié)里的vhdl語言描述得到的電梯控制器為對象進行仿真,得到的rtl電路如圖2-3所示。

從圖上可以看出,電梯控制器由一個控制主體電路模塊和三個顯示電路模塊組成,它們分別完成電梯狀態(tài)的控制和顯示功能。

圖2-3 電梯控制器rtl電路圖

對這樣一個數(shù)字系統(tǒng)進行功能仿真得到的仿真波形如圖2-

4、2-

5、所示。

圖2-4電梯控制器有上升請求時的仿真波形圖

圖2-5電梯控制器有下降請求時的仿真波形圖

圖中,當信號close為高電平時,電梯進入運行次態(tài)控制進程,通過判斷上升、下降請求寄存器每一位的值,決定電梯的運行狀態(tài),并通過狀態(tài)指示信號輸出該狀態(tài)。

在波形仿真中,根據(jù)實際,我們有必要做一些假設,即是:1.外部請求上升的乘客,進入電梯后一定是按更高層的停站按鈕;2.外部請求下降的乘客,進入電梯后一定是按更低層的停站按鈕;3.如果有乘客進入電梯,則一定有停站請求; 4.同一時刻有很多人按鍵的概率很小,所以我們認為請求信號都有一定的先后順序。

第三章 總結(jié)心得

在這兩周的設計過程中,除找資料外,大多時間都是在電腦上進行的,通過反復的編譯,仿真,不斷試驗來實現(xiàn)所得結(jié)果。在設計上,運用了 eda 自上而下的設計思想,逐步完善所設計功能,同時,用到 vhdl 語言中的狀態(tài)機,多進程,case、if?.else 等語句,才達到以上結(jié)果。在設計中也遇到許多困難,在自己及同學的共同努力下才完成此設計。因此這次課設給我?guī)淼氖斋@主要有:進一步熟悉 quartus ii軟件的使用和操作方法以及硬件實現(xiàn)時的下載方法與運行方法,很好的彌補了我們平時只學不用的缺陷;對vhdl語言的自頂向下設計方法有了進一步的認識,對其中的許多語句也有了新的理解和掌握;對自己獨立思考和解決問題的能力也有了很大的鍛煉,同時同學間的互幫互助精神也是在課程設計中很好體現(xiàn)的。自己做的五層電梯控制器的運行情況都能正確的實現(xiàn),更好的理解了電梯控制器的工作方式。在做設計的同時也有許多意外的收獲,比如對電腦也有了進一步的認識,同時也意識到了英語的重要性,在編譯的過程中如果如果出現(xiàn)了錯誤,要是看不懂就很難去改正,所以以后我將會更加努力的學習英語。

參考文獻: [1] 潘松.黃繼業(yè).《eda 技術(shù)實用教程(第3版)》.清華大學出版社,2009.[2] 劉欲曉.方強.黃宛寧《eda技術(shù)與vhdl電路開發(fā)應用實踐 》.電子工業(yè)出版社,2009.[3] 蘇長贊.《電梯設計與應用》.人民郵電出版社,2008.22

電梯控制器設計電路篇三

我要分享電梯控制器由硬件和軟件二大部分組成。硬件包括控制器、控制器底板、讀卡天線、電源、寫卡器、ic卡片id卡片等組成內(nèi)呼控制器,軟件包括下位機運行控制軟件、上位機寫卡授權(quán)軟件。下面就具體介紹一下電梯控制器系統(tǒng)結(jié)構(gòu)以及電梯控制器系統(tǒng)功能,讓大家更加了解電梯的功能!啊 電梯控制器由硬件和軟件二大部分組成。硬件包括控制器、控制器底板、讀卡天線、電源、寫卡器、ic卡片id卡片等組成內(nèi)呼控制器,軟件包括下位機運行控制軟件、上位機寫卡授權(quán)軟件。下面就具體介紹一下電梯控制器系統(tǒng)結(jié)構(gòu)以及電梯控制器系統(tǒng)功能,讓大家更加了解電梯的功能。

電梯控制器的簡介

電梯控制器由硬件和軟件二大部分組成。硬件包括控制器、控制器底板、讀卡天線、電源、寫卡器、ic卡片id卡片等組成內(nèi)呼控制器,軟件包括下位機運行控制軟件、上位機寫卡授權(quán)軟件。

智能型電梯樓層人員管制系統(tǒng),專供電梯轎箱內(nèi)管制人員出入特定樓層。管制持卡人員出入特定允許出入之樓層,以防止隨意出入各樓層而確保安全;具區(qū)段式增加,刪除,查詢卡號及樓層設定;操作模式:單層卡持有人刷卡直達.無需再按鍵;多層卡用戶刷卡后,須再按卡片內(nèi)記錄的權(quán)限按鍵抵達;可選配密碼鍵盤,實現(xiàn)忘帶卡時輸密碼坐電梯;具有時間區(qū)管制:實現(xiàn)系統(tǒng)在某段時間內(nèi)開放,某段時間內(nèi)受控,使電梯按規(guī)定自動運行;該系統(tǒng)與電梯本身系統(tǒng)采用無源觸點連接,兩者完全隔離,不會對電梯原有性能產(chǎn)生任何影響;產(chǎn)品自帶自檢裝置當系統(tǒng)發(fā)生故障或者遭破壞時可送出訊號,會自動從原系統(tǒng)中脫離,恢復電梯原狀態(tài),不影響電梯的使用;具有消防信號輸入接口,當無源的干接點消防開關(guān)信號啟動后,ic卡電梯系統(tǒng)不工作,電梯恢復到原狀態(tài);使用低功率之cmos微電腦、斷電時人員及儲存資料可保存10年絕不流失;含高級接待卡功能;脫機或者聯(lián)網(wǎng)狀態(tài)系統(tǒng)會自動記錄每次成功刷卡使用電梯的相關(guān)信息。

電梯控制系統(tǒng)結(jié)構(gòu)

電梯控制系統(tǒng)硬件由轎廂操縱盤、廳門信號、plc、變頻器、調(diào)速系統(tǒng)構(gòu)成,變頻器只完成調(diào)速功能,而邏輯控制部分是由plc完成的。plc負責處理各種信號的邏輯關(guān)系,從而向變頻器發(fā)出起停信號,同時變頻器也將本身的工作狀態(tài)輸送給plc,形成雙向聯(lián)絡關(guān)系。系統(tǒng)還配置了與電動機同軸連接旋轉(zhuǎn)編碼器及pg卡,完成速度檢測及反饋,形成速度閉環(huán)和位置閉環(huán)。此外系統(tǒng)還必須配置制動電阻,當電梯減速運行時,電動機處于再生發(fā)電狀態(tài),向變頻器回饋電能,抑制直流電壓升高。

電梯系統(tǒng)是一個六層六站的系統(tǒng),井道內(nèi)設有轎廂、安全窗、對重、安全鉗、感應器、平層、樓層隔磁板、端站打板及各種動作開關(guān),轎廂底部設有超載、滿載開關(guān),井道外每層設有樓層顯示、呼梯按鈕及指示、一層設基站電鎖,井道頂部有機房,內(nèi)設機房檢修按鈕、慢上、慢下開關(guān)、曳引機、導向輪和限速器,并道底部設有底坑,緩沖器、限速器繩輪;轎廂內(nèi)設有廳門、轎門、門機機構(gòu)、門刀機構(gòu)、門鎖機構(gòu)、門機供電電路、安全觸板、轎頂急停、檢修、慢上、慢下開關(guān)及轎頂照明、轎頂接線廂,轎門和廳門上方設有樓層顯示,轎門右側(cè)設有內(nèi)選按鈕及指示、開關(guān)門按鈕、警鈴按鈕、超載、滿載指示。本文的重點是先將原由繼電器控制的電梯控制柜進行改造,用plc取代繼電器進行電梯控制,以提高電梯的可靠性和安全性;然后將電梯的信號通過ddc采集送工作站進行電梯運行情況的監(jiān)視。

直流電梯具有速度快、舒適感好、平層準確度高的特點,這是因為直流拖動系統(tǒng)調(diào)速性能好、調(diào)速范圍寬。直流電動機的調(diào)速方法有改變端電壓ua、調(diào)節(jié)調(diào)整電阻rtj、改變勵磁磁。直流電梯的拖動系統(tǒng)通常有兩種:(1)、用發(fā)電機組構(gòu)成的可控硅勵磁的發(fā)電機一電動機的拖動系統(tǒng)門禁電梯控制系統(tǒng)。

(2)、可控硅直接供電的可控硅一電動機拖動系統(tǒng)。

兩者都是利用調(diào)整電動機端電壓ua的方法進行調(diào)速的,前者是通過調(diào)節(jié)發(fā)電機的勵磁改變發(fā)電機的輸出電壓進行調(diào)速的,所以稱為可控硅勵磁系統(tǒng)。后者是用三相可控硅整流器,把交流變?yōu)榭煽刂绷,供給直流電動機的調(diào)速系統(tǒng),省去了發(fā)電機組,因此降低了造價,使結(jié)構(gòu)更加緊湊,直流電梯因其沒備多,維護較為復雜,造價高,因此常用于速度要求較高的高層建筑,它具有舒適感好、平層準確高的特點、速度有1.5-1.75m/s的快速梯和2.55m/s的高速梯。

電梯控制器系統(tǒng)功能

1、消防功能:

當遇到電梯消防時,電梯智能卡控制器會自動脫離電梯,是電梯恢復到無電梯智能卡控制狀態(tài),方便電梯內(nèi)人員及時脫離危險。

2、限時功能:

物業(yè)人員把每張電梯專用卡寫入有效時間,在這段時間內(nèi),業(yè)主正常刷卡乘梯,過了截止時間后,業(yè)主持電梯專用卡刷卡時無效,電梯按鍵不響應,業(yè)主需到物業(yè)處繳費,物業(yè)人員把業(yè)主的電梯專用卡重新寫入相應的時間后,業(yè)主的電梯專用卡才能正常使用。

3、扣費功能:

物業(yè)人員把每張電梯專用卡寫入有效次數(shù),業(yè)主每刷一次,卡內(nèi)次數(shù)就相應扣除一次,當卡內(nèi)次數(shù)不足10次時,讀卡器會發(fā)出報警聲音提示用戶充值,當剩余次數(shù)為0時,電梯卡便無法使用。

4、免維護功能:

當電梯刷卡控制器出現(xiàn)故障時,電梯維保人員可以直接在是電梯按鈕連接板上撥動一個免維護開關(guān),這樣電梯按鈕會自動進入無卡狀態(tài),業(yè)主無需刷卡,直接按動電梯按鈕乘梯。對于維保人員來說,無需再恢復按鈕線,直接將有故障的電梯刷卡控制器寄回我司進行維護,若在質(zhì)保期內(nèi),則一旦電梯刷卡控制器出現(xiàn)故障,同時將立即寄出新的電梯刷卡控制器,直接免費更換新的即可,當電梯維保人員收到新的電梯刷卡控制器后,只需簡單安裝即可。

5、刷卡功能:

可根據(jù)需要隨意設定電梯專用卡的權(quán)限,乘梯時需先刷卡后使用,使無卡或無權(quán)限人員無法進入并使用電梯,刷卡后,按鈕需手動按亮或自動點亮。

總結(jié):關(guān)于電梯控制器系統(tǒng)結(jié)構(gòu)以及電梯控制器系統(tǒng)功能的相關(guān)信息就為大家介紹到這里了,希望這篇文章對大家有所幫助。如果大家還有什么不明白的地方可以在下方給小編留言哦,我們會盡快為您解答。

電梯控制器設計電路篇四

設計性實驗項目名稱

交通燈控制器設計

實驗項目學時:3學時

實驗要求:■ 必修

□ 選修

一、實驗目的

1、學習與日常生活相關(guān)且較復雜數(shù)字系統(tǒng)設計;

2、進一步熟悉eda實驗裝置和quartusⅱ軟件的使用方法;

3、學習二進制碼到bcd碼的轉(zhuǎn)換;

4、學習有限狀態(tài)機的設計應用。

二、實驗原理

交通燈的顯示有很多方式,如十字路口、丁字路口等,而對于同一個路口又 有很多不同的顯示要求,比如十字路口,車輛如果只要東西和南北方向通行就很 簡單,而如果車子可以左右轉(zhuǎn)彎的通行就比較復雜,本實驗僅針對最簡單的南北 和東西直行的情況。

要完成本實驗,首先必須了解交通路燈的燃滅規(guī)律。本實驗需要用到實驗箱上交通燈模塊中的發(fā)光二極管,即紅、黃、綠各三個。依人們的交通常規(guī),“紅燈停,綠燈行,黃燈提醒”。其交通燈的燃滅規(guī)律為:初始態(tài)是兩個路口的紅燈全亮之后,主干道的綠燈亮,鄉(xiāng)間道路的紅燈亮,主干道方向通車,延時一段時間后,鄉(xiāng)間公路來車,主干道綠燈滅,黃燈開始閃爍。閃爍若干次后,主干道紅燈亮,而同時鄉(xiāng)間公路的綠燈亮,延時一段時間后,鄉(xiāng)間公路的綠燈滅,黃燈開始閃爍。閃爍若干次后,再切換到主干道方向,重復上述過程。

三、設計要求

完成設計、仿真、調(diào)試、下載、硬件測試等環(huán)節(jié),在型eda實驗裝置上實現(xiàn)一個由一條主干道和一條鄉(xiāng)間公路的匯合點形成的十字交叉路口的交通燈控制器功能,具體要求如下:

1、有mr(主紅)、my(主黃)、mg(主綠)、cr(鄉(xiāng)紅)、cy(鄉(xiāng)黃)、cg(鄉(xiāng)綠)六盞交通燈需要控制;

2、交通燈由綠轉(zhuǎn)紅前有4秒亮黃燈的間隔時間,由紅轉(zhuǎn)綠沒有間隔時間;

3、鄉(xiāng)間公路右側(cè)各埋有一個串連傳感器,當有車輛準備通過鄉(xiāng)間公路時,發(fā)出請求信號s=1,其余時間s=0;

4、平時系統(tǒng)停留在主干道通行(mgcr)狀態(tài),一旦s信號有效,經(jīng)主道黃燈4秒(mycr)狀態(tài)后轉(zhuǎn)入鄉(xiāng)間公路通行(mrcg)狀態(tài),但要保證主干道通行大于一分鐘后才能轉(zhuǎn)換;

5、一旦s信號消失,系統(tǒng)脫離mrcg狀態(tài),即經(jīng)鄉(xiāng)道黃燈4秒(mrcy)狀態(tài)進入mgcr狀態(tài),即使s信號一直有效,mrcg狀態(tài)也不得長于20秒鐘;

6、控制對象除紅綠燈之外,還包括分別在主干道和鄉(xiāng)間公路各有一個兩位十進制倒計時數(shù)碼管顯示。

四、主要儀器設備

1、微機

1臺

1套 1套

2、quartusii集成開發(fā)軟件

3、eda實驗裝置

五、實驗步驟

源程序:

0module traffic1(clk,s,rst,light,sel,sg);input clk,s,rst;output[5:0] light;

output [2:0]sel;output [7:0]sg;reg [5:0] light;reg clk1;reg [3:0] sc;

reg [7:0] count;reg [7:0] cnt,sg;reg [2:0] sel;reg [3:0]a;parameter s0=0,s1=1,s2=2,s3=3,s4=4;initial

begin

count<=8'b01100000;

light<=6'b001100;

sc=s0;

end

always @(posedge clk)begin

begin cnt=cnt+1;

if(cnt==100)begin clk1=1'b1;cnt=0;end

else clk1=1'b0;//100分頻,clk為數(shù)碼管掃描頻率,clk1為計數(shù)頻率

if(sel<7)sel=sel+1;else sel=6;

end //sel為數(shù)碼管選擇

begin

case(sel)

7: a=count[3:0];//0數(shù)碼管為個位

6: a=count[7:4];//1數(shù)碼管為十位

default: a=0;

endcase

case(a)

0:sg<=8'b00111111;1:sg<=8'b00000110;

2:sg<=8'b01011011;3:sg<=8'b01001111;

4:sg<=8'b01100110;5:sg<=8'b01101101;

6:sg<=8'b01111101;7:sg<=8'b00000111;

8:sg<=8'b01111111;9:sg<=8'b01101111;//8段譯碼值

default: sg=8'b11111111;

endcase

end end

always @(posedge clk1 or negedge rst)

begin

if(!rst)begin count = 0;sc=s3;end // count set nothing but else 0

else if(count == 0)

begin

case(sc)

s0: begin if(s)begin sc=s1;count = 8'b00000100;light=6'b010100;end else begin sc=s4;count = 8'b01100000;end end

s1: begin count = 8'b00100000;sc=s2;light=6'b100001;end

s2: begin count = 8'b00000100;sc=s3;light=6'b100010;end

s3: begin count = 8'b01100000;sc=s0;light=6'b001100;end

s4: begin if(s)begin sc=s1;count = 8'b00000100;light=6'b010100;end else begin sc = s4;count = 8'b01100000;light<=6'b001100;end end

default begin sc=s0;count =8'h60;end

endcase

end

else

if((sc==s2)&(s==0))begin sc=s3;count = 8'b00000100;light=6'b100010;end

else

if((sc==s4)&(s==1))begin sc=s1;count = 8'b00000100;light=6'b010100;end

else if(count[3:0] == 4'b0000)

begin count = count-7;end

else

begin count = count-1;end

end endmodule

管腳鎖定

六、波形仿真

主干道60s倒計時

s信號為1時

七、實驗心得

通過本次實驗,讓我進一步掌握了軟件quartus的使用流程,一開始實驗時波形仿真是正確的,但在電路上實現(xiàn)時主干道亮黃燈時,鄉(xiāng)間道路亮的是綠燈,這顯然是不對的,后面發(fā)現(xiàn)是管腳鎖定時出現(xiàn)了問題,改回來之后就沒問題了。管腳鎖定時不能粗心,不然很容易出現(xiàn)問題。

曹軍

生醫(yī)121班

電梯控制器設計電路篇五

彩燈控制器設計 摘要

一、系統(tǒng)設計要求

設計一個控制電路來實現(xiàn)8路彩燈按照一定的次序和時間間隔閃爍。具體要求如下:

1、當控制開關(guān)為0時,燈全滅;當控制開關(guān)為1時,從第一盞開始,依次點亮,時間間隔為1秒。期間一直保持只有一盞燈亮、其他燈全滅的狀態(tài)。

2、8盞燈依次亮完后,從第8盞開始依次滅,期間一直保持只有一盞燈滅、其他燈全亮的狀態(tài)。

3、當8盞燈依次滅完后,8盞燈同時亮同時滅,其時間間隔為0.5秒,并重復4次。

4、只要控制開關(guān)為1,上述亮燈次序不斷重復。

5、用層次化設計方法設計該電路,編寫各個功能模塊的程序。

6、仿真各功能模塊,通過觀察有關(guān)波形確認電路設計是否正確。

7、完成電路設計后,用實驗系統(tǒng)下載驗證設計的正確性。

二、系統(tǒng)總體結(jié)構(gòu) 系統(tǒng)框圖如下所示,ena為控制開關(guān),輸入信號為2hz,經(jīng)過分頻器分頻之后產(chǎn)生一個1hz的時鐘信號,用兩個12位內(nèi)部信號的左、右移后的中間8位來控制8個燈的亮與滅。計數(shù)器1和計數(shù)器2的作用:一方面用2hz和1hz的時鐘信號控制燈亮、滅的時間間隔;另一方面控制八盞燈的依次亮、依次滅和全亮、全滅。

使能信號ena為無效電平時(低電平),8只led燈保持全滅的狀態(tài);當使能信號ena為有效電平時(高電平),8只按照既定的花型進行變換,首先2hz的時鐘信號在分頻器的左右下改變?yōu)?hz的時鐘信號,該1hz的時鐘信號送至計數(shù)器

2、左移和右移模塊,并由計數(shù)器2實現(xiàn)左、右移模塊的選擇。分別實現(xiàn)8只led燈的自左向右依次點亮,以及自右向左依次熄滅的花型變換;當完成自右向左的花型變換后,計數(shù)器2給出一個控制信號給計數(shù)器1,執(zhí)行全亮全滅的花型變換,該模塊的時鐘信號是未經(jīng)分頻器分頻的原始時鐘信號2hz。只要使能信號有效,那么該系統(tǒng)就按照以上的花型變換順序一直永序的變換下去。

三、各功能模塊

1、時鐘信號二分頻模塊:

功能描述:該模塊的功能主要是將頻率為2hz的時鐘信號分頻頻率為1hz的時鐘信號,并將頻率為1hz的時鐘信號輸出給左移、右移模塊作為這兩個模塊時鐘觸發(fā)信號。

代碼部分: library ieee;use ;use ;entity clk_div2 is port(clk:in std_logic;output:buffer std_logic);end clk_div2;architecture one of clk_div2 is begin process(clk)begin if clk'event and clk='1' then output<=not output;end if;end process;end one;仿真

2、計數(shù)器模塊:

功能描述:該模塊的主要功能是通過計數(shù),實現(xiàn)對左移及右移模塊的選通,并在右移和左移模塊完成后,跳轉(zhuǎn)到循環(huán)取反模塊執(zhí)行指令。

計數(shù)器1代碼部分: library ieee;use ;use ;entity count1 is port(clk,ena:in std_logic;output:buffer std_logic_vector(1 downto 0));end count1;architecture one of count1 is signal cq:std_logic_vector(5 downto 0);begin process(clk,ena)begin if clk'event and clk='1' then if ena='1' then if cq<“100111” then cq<=cq+1;if cq=“100000” then output<=“10”;elsif cq=“100001” then output<=“01”;elsif cq=“100010” then output<=“10”;elsif cq=“100011” then output<=“01”;elsif cq=“100100” then output<=“10”;elsif cq=“100101” then output<=“01”;elsif cq=“100110” then output<=“10”;elsif cq=“100111” then output<=“01”;end if;else cq<=“000000”;output<=“00”;end if;else cq<=“111111”;end if;end if;end process;end one;

仿真波形:

計數(shù)器2代碼: library ieee;use ;use ;entity count2 is port(clk,ena:in std_logic;output:out std_logic_vector(5 downto 0));

end count2;architecture one of count2 is signal cq:std_logic_vector(5 downto 0);begin process(clk,ena)begin if clk'event and clk='1' then if ena='1' then if cq<“010011” then cq<=cq+1;else cq<=“000000”;end if;else cq<=“111111”;end if;end if;end process;output<=cq;end one;

仿真波形:

3、循環(huán)右移模塊:

功能描述:該功能實現(xiàn)8只led燈的從左向右依次點亮的功能,點亮過程中,每時每刻都保證只有一個led被點亮,其它led全滅的狀態(tài)。時間間隔為1s。

代碼部分: library ieee;use ;use ;entity rightmove is port(clk,ena:in std_logic;count:in std_logic_vector(5 downto 0);output:out std_logic_vector(11 downto 0));end rightmove;architecture one of rightmove is begin process(clk,ena,count)begin if clk'event and clk='1' then if ena='1' then case count is when “000000”=>output<=“001000000000”;when “000001”=>output<=“000100000000”;when “000010”=>output<=“000010000000”;when “000011”=>output<=“000001000000”;when “000100”=>output<=“000000100000”;when “000101”=>output<=“000000010000”;when “000110”=>output<=“000000001000”;when “000111”=>output<=“000000000100”;when others=>output<=“000000000000”;end case;else output<=“000000000000”;end if;end if;end process;end one;

仿真波形:

4、循環(huán)左移模塊:

功能描述:該功能實現(xiàn)8只led燈在從左向右依次點亮結(jié)束后,從右向左依次熄滅一只led,熄滅過程中,每時每刻都保證只有一個led被熄滅,其它led全亮的狀態(tài)。時間間隔為1s。

代碼部分: library ieee;use ;use ;entity leftmove is port(clk,ena:in std_logic;count:in std_logic_vector(5 downto 0);output:out std_logic_vector(11 downto 0));end leftmove;architecture one of leftmove is begin process(clk,ena,count)begin if clk'event and clk='1' then if ena='1' then case count is when “001000”=>output<=“111111111011”;when “001001”=>output<=“111111110111”;when “001010”=>output<=“111111101111”;when “001011”=>output<=“111111011111”;when “001100”=>output<=“111110111111”;when “001101”=>output<=“111101111111”;when “001110”=>output<=“111011111111”;when “001111”=>output<=“110111111111”;when others=>output<=“000000000000”;end case;else output<=“000000000000”;end if;end if;end process;end one;

仿真波形:

5、循環(huán)取反模塊:

功能描述:該模塊實現(xiàn)的是對完成逐個點亮和逐個熄滅的過程后,實現(xiàn)每秒2次的全亮、全滅的閃爍。即相鄰兩個動作之間的時間間隔為0.5s。

代碼部分: library ieee;use ;use ;entity qufan is port(clk,ena:in std_logic;count:in std_logic_vector(1 downto 0);output:buffer std_logic_vector(11 downto 0));end qufan;architecture one of qufan is begin process(clk,ena,count)begin if clk'event and clk='1' then if ena='1' then case count is when “10”=>output<=“111111111111”;when “01”=>output<=not output;when others=>output<=“000000000000”;end case;else output<=“000000000000”;end if;end if;end process;end one;

仿真波形:

6、多選一模塊:

功能描述:此模塊選擇左移、右移或者是循環(huán)取反中的一個模塊信號送至8只led燈。

代碼部分: library ieee;use ;use ;entity qufan is port(clk,ena:in std_logic;count:in std_logic_vector(1 downto 0);output:buffer std_logic_vector(11 downto 0));end qufan;architecture one of qufan is begin process(clk,ena,count)begin if clk'event and clk='1' then if ena='1' then case count is when “10”=>output<=“111111111111”;when “01”=>output<=not output;when others=>output<=“000000000000”;end case;else output<=“000000000000”;end if;end if;end process;end one;

仿真波形:

7、系統(tǒng)組合電路:

以上詳細地介紹了各個模塊的功能、代碼以及仿真波形。下面將把6個分模塊系統(tǒng)有序的整合在一起,形成一個功能完整的系統(tǒng)。

仿真波形:

四、系統(tǒng)調(diào)試

1、該系統(tǒng)使用開發(fā)板電路模式n0.6,其電路結(jié)構(gòu)為

2、使用的可編程芯片型號為flex epf10k10-plcc84。

3、在將程序下載到實驗箱上實際檢測的時候,引腳的設置是一項簡單

但極易出現(xiàn)錯誤的工作。時鐘信號由clock0送出,其時鐘頻率為2hz,使能信號ena接的是實驗箱上的3號按鍵。

4、當控制開關(guān)ena為0時,8只彩燈保持全滅的狀態(tài);當控制開關(guān)ena 為1時,彩燈按照預先設定的先自左向右依次點亮,全部點亮后按照自右向左的順序依次熄滅,依次漸亮和依次熄滅的過程中,始終保持只有一盞彩燈被點亮或熄滅,且時間間隔為1s。當彩燈依次熄滅后,加快變換頻率,以0.5s的時間間隔全亮、全亮交替。

5、調(diào)試結(jié)果:此系統(tǒng)可以順利的實現(xiàn)了4的設定顯示效果。

五、總結(jié)

本次實驗課題主要包括四個階段:課題選擇階段、查閱資料階段、編程仿真階段、實驗箱檢測調(diào)試階段。

課題的選定是抽簽決定的,有不少人說彩燈是最簡單的一個課題。不過我認為沒什么簡單困難之分,不同的只是你對待它的態(tài)度。

查閱資料階段則主要圍繞的是選擇何種方案可以更好的實現(xiàn)要求得功能,并最終確定各個功能模塊的實現(xiàn)方案。

編程仿真階段則主要是把上一階段確定的方案變?yōu)関hdl代碼,并編譯仿真得到仿真波形。最后一個階段就是實驗箱檢測和調(diào)試階段,將已經(jīng)編譯仿真過的程序下載到實驗箱進行實際的檢測和調(diào)試。最終系統(tǒng)沒出現(xiàn)什么問題,編譯后的代碼下載到實驗箱后,彩燈順利實現(xiàn)要求的花型變換。

最后要感謝老師的悉心指導,課程設計中,遇到了不少問題,多虧了老師的悉心指導才能夠順利、及時地解決問題,使得課題能夠順利完成。通過這次的課題設計,我深深體會到知識通過應用才能體現(xiàn)其價值。

版權(quán)聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻,該文觀點僅代表作者本人。本站僅提供信息存儲空間服務,不擁有所有權(quán),不承擔相關(guān)法律責任。如發(fā)現(xiàn)本站有涉嫌抄襲侵權(quán)/違法違規(guī)的內(nèi)容, 請發(fā)送郵件至 yyfangchan@163.com (舉報時請帶上具體的網(wǎng)址) 舉報,一經(jīng)查實,本站將立刻刪除